Temperature management for heterogeneous multi-core FPGAs using adaptive evolutionary multi-objective approaches

Renzhi Chen, Peter R. Lewis, Xin Yao

Research output: Chapter in Book/Published conference outputConference publication

Abstract

Heterogeneous multi-core FPGAs contain different types of cores, which can improve efficiency when used with an effective online task scheduler. However, it is not easy to find the right cores for tasks when there are multiple objectives or dozens of cores. Inappropriate scheduling may cause hot spots which decrease the reliability of the chip. Given that, our research builds a simulating platform to evaluate all kinds of scheduling algorithms on a variety of architectures. On this platform, we provide an online scheduler which uses multi-objective evolutionary algorithm (EA). Comparing the EA and current algorithms such as Predictive Dynamic Thermal Management (PDTM) and Adaptive Temperature Threshold Dynamic Thermal Management (ATDTM), we find some drawbacks in previous work. First, current algorithms are overly dependent on manually set constant parameters. Second, those algorithms neglect optimization for heterogeneous architectures. Third, they use single-objective methods, or use linear weighting method to convert a multi-objective optimization into a single-objective optimization. Unlike other algorithms, the EA is adaptive and does not require resetting parameters when workloads switch from one to another. EAs also improve performance when used on heterogeneous architecture. A efficient Pareto front can be obtained with EAs for the purpose of multiple objectives.

Original languageEnglish
Title of host publication2014 IEEE International Conference on Evolvable Systems proceedings
Place of PublicationPiscataway, NJ (US)
PublisherIEEE
Pages101-108
Number of pages8
ISBN (Print)978-1-4799-4480-4
DOIs
Publication statusPublished - 31 Dec 2014
EventIEEE International Conference on Evolvable Systems - Orlando, FL, United States
Duration: 9 Dec 201412 Dec 2014

Conference

ConferenceIEEE International Conference on Evolvable Systems
Abbreviated titleIEEE ICES 2014
Country/TerritoryUnited States
CityOrlando, FL
Period9/12/1412/12/14

Bibliographical note

Funding: European Union 7th Framework Programme under grant agreement no. 257906

Fingerprint

Dive into the research topics of 'Temperature management for heterogeneous multi-core FPGAs using adaptive evolutionary multi-objective approaches'. Together they form a unique fingerprint.

Cite this